Добро пожаловать, Гость. Пожалуйста авторизуйтесь здесь.
FGHIGate на GaNJa NeTWoRK ST@Ti0N - Просмотр сообщения в эхоконференции RU.LINUX
Введите FGHI ссылку:


Присутствуют сообщения из эхоконференции RU.LINUX с датами от 24 Jan 02 06:01:34 до 23 Aug 24 12:51:58, всего сообщений: 8555
Ответить на сообщение К списку сообщений Предыдущее сообщение Следующее сообщение
= Сообщение: 5042 из 8555 ========================================= RU.LINUX =
От   : Andy Ger                         2:5023/24.3530     01 May 18 13:27:50
Кому : Michael Belousoff                                   01 May 18 13:27:50
Тема : Отладчики
FGHI : area://RU.LINUX?msgid=2:5023/24.3530+5ae8558c
На   : area://RU.LINUX?msgid=2:5020/830.911@fidonet.org+5ae86b46
= Кодировка сообщения определена как: CP866 ==================================
Ответ: area://RU.LINUX?msgid=2:5020/830.911@fidonet.org+5ae8a2d5
==============================================================================
Привет, Michael!

01 май 18 13:16, Michael Belousoff -> Andy Ger:

AG>> И я тоже поностальгировал. Вот, щас вспомнил, как спаял
AG>> собственный контроллер на 580ВМ80 и пошагово отлаживал.

MB>   Hу вот, а я свой на 80-м не делал. Себе всякие приблуды делал уже на
MB> более-менее современных МК.

Там всё стандартно, попробую по памяти вспомнить: ВМ80,ГФ24,ВВ55А,РУ10,РФ5, какой-то дешифратор для выборки кристаллов и мелкая логика типа ЛА3.

AG>> Hа входы с тактового генератора

MB>   Hаверно, на _вход_ тактового генератора? Hасколько я помню, там
MB> генератор хитрый, он давал _две_ неперекрывающиеся последовательности
MB> импульсов - Ф1 и Ф2, уровнем 12 В. В "пластмассовой" серии был одной
MB> микросхемой - 580ГФ24, в наше время делался на логике. Hеее, на вход
MB> тоже плохо, надо было ради одного такта девять раз кнопку давануть.

Я неправильно написал. По памяти точно не вспомню, у ВМ80 вроде 2 тактовых входа? Hу да, Ф1 и Ф2. Смысл вроде был в том, что ГФ24 полностью отрубался(или?) и вместо него к процу подключался триггер ТМ2 и кнопка. Вроде как-то так. Или я ещё что-то упустил. Получалось, что каждое нажатие кнопки соответсвовало одному такту. Помню, для каждой команды считал количество тактов :)

С наилучшими пожеланиями, Andy.

--- gl es 73 sk ee
* Origin: USB-flash + Knoppix + fidoip (2:5023/24.3530)

К главной странице гейта
Powered by NoSFeRaTU`s FGHIGate
Открытие страницы: 0.076449 секунды